This morning, Intel is set to provide updates on its foundry business (IFS) and process roadmap at its IFS Direct Connect event in Santa Clara. Intel is expected to unveil plans for how the company will transform the foundry industry and how it is set to become the world's first and only fully integrated systems foundry in the AI space. Led by Intel CEO Pat Gelsinger and Stuart Pann, the Senior Vice President and General Manager of Intel Foundry Services, both will deliver the event's opening keynote. Expected guests throughout the keynote include Sam Altman, the co-founder and CEO of OpenAI, Gina Raimondo, the US Secretary of Commerce, and Satya Nadella, the Chairman and CEO of Microsoft.


08:26AM PST - It's a busy and surprisingly crowded morning at Intel's first dedicated foundry event for the modern era

08:26AM PST - Everyone is quickly taking their seats for a scheduled 8:30am start

08:27AM PST - According to Intel, attendance is already exceeding their expectations. They had over 1000 registered attendees as of last Thursday, and that's continued to grow since then

08:29AM PST - The hardest part with doing your first event is knowing just how many people to plan for. Despite all of their history, Intel is a newcommer for the contract foundry space, so the question of how many customers they can attract in their first years remains a big one

08:29AM PST - IFS Direct Connect is for all practical purposes the coming-out party for Intel's foundry group

08:30AM PST - Up until now, it's been roadmaps and doing the hard work of catching up on node development, all the while also working to develop a new-to-Intel external foundry ecosystem

08:31AM PST - Intel is still in the process of doing all of this - and will be for years to come - but the first fruits are finally coming to bear

08:31AM PST - As evidenced by a session on EDA tools later this morning that is expected to take an hour

08:31AM PST - And here we go

08:32AM PST - Intel Foundry: We were made for this

08:32AM PST - Surprise! IFS is no longer IFS. The entire fab, testing, and packaging group is now simply Intel Foundry. Or as Intel writes it in all-lowercase, "intel foundry"

08:32AM PST - And here's Pat Gelsinger

08:33AM PST - Welcoming everyone to Direct Connect 2024

08:33AM PST - Last week marked his 3 year anniversary as the CEO of Intel

08:33AM PST - Recapping his three big goals for Intel, rebuilding Intel and righting the ship after Intel's foundry foibles in the last decade

08:34AM PST - As well as Pat's first big event, Intel Unleashed, which outlined Intel's IDF 2.0 foundry strategy, which has morphed into Intel Foundry today

08:34AM PST - Gelsinger is all about reestablishing Intel's process leadership position

08:35AM PST - "Today, this vision of Unleashed becomes real"

08:35AM PST - Intel's first announcement of the day: Intel Foundry

08:35AM PST - A renaming and a reorg of Intel's foundry groups. Technology development, global manufacturing and supply chain, and Intel's foundry services and ecosystem operation

08:36AM PST - Meanwhile the other half of Intel will be the Intel Products group

08:36AM PST - This is where the client, datacenter, and other products that Intel develops

08:37AM PST - And these two groups are independent to meet the needs of each - though obviously still interdependent to an extent as Foundry needs Products' chips, and Products will need a world-class foundry

08:37AM PST - And now: AI

08:38AM PST - AI is the big buzzword of the industry right now, and even though Intel doesn't have a complete product stack here, Intel Foundry is all about making chips for the AI era

08:39AM PST - Intel is terming their foundry model the "Systems Foundry"

08:39AM PST - Gelsinger: "Moore's Law is alive and well!"

08:39AM PST - Outlining all of the foundry technologies Intel is bringing to market over the next several years. High-NA, glass substrates, die stacking, and more

08:40AM PST - And now outlining a bit more of what to expect from today's show. Intel has a bevy of guest speakers, from government officials to OpenAI's Sam Altman

08:41AM PST - As well as numerous Intel executives, including foundry VP Stu Penn and Dr. Ann Kelleher

08:42AM PST - Intel also has numerous partners here at the show. EDA and IP providers to flesh out their ecosystem so that external customers have the tools needed to design chips for Intel's foundries, and the IP needed so that clients have all the parts and libraries they need to build a complete chip

08:43AM PST - Gelsinger is thanking the EDA partners

08:43AM PST - Moving on, Gelsinger wants to become the world's #2 foundry by 2020

08:44AM PST - Even if Intel retakes process leadership in 2025 as they intend, it will be many, many years of growth and shifting customers to overtake the current #1 of TSMC. Overtaking Samsung will be an easier task

08:46AM PST - And there is a definite degree of geopolitics in Intel Foundry's plans as well. Intel wants their foundry business to be the answer to business and government customers around the globe who want foundries on friendly and safe soil - a resiliant foundry that isn't faced with geopolitical risks

08:46AM PST - Silicon is to modern geopolitics what oil has been for the last 50 years

08:47AM PST - In 1990, 80% of semiconductors were built in the US and Europe. Now it's 80% in just Taiwan and South Korea

08:48AM PST - Intel's moonshot goal: rebalancing things to get to a 50/50 split between the US/Europe and Asia

08:48AM PST - And now for Pat's first guest speaker: Gina Raimondo, the US Secretary of Commerce, and a key figure in the CHIPS Act that is helping to fund Intel's foundry ambitions

08:49AM PST - Raimondo is comparing the current moment to the space race, as that was the last time the US government made such a significant investment in a single industry

08:50AM PST - COVID changed a lot of things. It laid out bare the US's reliance on external fabs; the inability to produce all of the chips it needs domestically

08:51AM PST - "You've been a fantastic partner"

08:52AM PST - Why is building chips on the US so important? The Feds don't want to make every chip in America, but they want to diversify their supply chain and get much more leading-edge chip manufacturing in the US

08:52AM PST - Not quite complete self-sufficiency, but a self-propelling engine of production

08:56AM PST - "If we want to complete globally, we're going to have to continue to invest"

08:57AM PST - CHIPS is about more than just incentivizing 10 new fabs in the US. The US government wants to kickstart a much larger domestic ecosystem

08:57AM PST - And that's Secretary Raimondo

08:57AM PST - Now back to Pat and his Siliconomy

08:58AM PST - Expecting 25% of the world GDP being fueled by the "digital economy". AI has the potential to make this grow quickly

08:58AM PST - Now on to process nodes and roadmaps

08:58AM PST - Recapping Intel's 5 Nodes in 4 Years promise

08:59AM PST - Intel 4 is shipping, Intel 3 is HVM ready

08:59AM PST - And the new Angstrom nodes, 20A and 18A, with their GAAFETs/RibbonFETs and PowerVia backside power delivery, remain on track

08:59AM PST - Intel needs to ship 18A by 2025 to make their milestones

09:00AM PST - Intel's first 18A product has taped out. Clearwater Forest, their lead product for 18A

09:00AM PST - Clearwater is Intel's second-generation E-core Xeon, to succeed Sierra Forest

09:01AM PST - Clearwater Forst usiong an Intel 3 base die, along with EMIB, and most surprisingly, Foveros Direct, Intel's ultra-fine die-to-die copper bonding technology

09:01AM PST - Intel has also landed a new 18A customer: Microsoft

09:02AM PST - Now rolling a clip of a message from Microsoft's CEO Satya Nadella

09:02AM PST - MS looks forward to sharing more details on their 18A chip in the future

09:02AM PST - 18A is the light at the end of the tunnel

09:02AM PST - But what comes next if Moore's Law is supposed to be alive and well?

09:03AM PST - What comes after 18A is 14A, as well as several new minor nodes

09:03AM PST - For more details, please see our article here: https://www.anandtech.com/show/21271/intel-foundry-future-14a-foveros-direct-beyond

09:03AM PST - And Pat has a 14A wafer!

09:04AM PST - As for the minor nodes, Intel is planning feature and performance enhancements of existing nodes, as well as nodes with specific through-silicon-via capabilities

09:04AM PST - Intel 3-T, Intel 3-E, Intel 3-PT, Intel 16-E, and towards the end of the roadmap, Intel 14A-E

09:05AM PST - E= Feature enhancements. P= Performance enhancements. T= Through silicon vias

09:05AM PST - As Intel Foundry, the comapny is also throwing the doors wide-open on their advanced packaging technologies. Everything from EMIB to Foveros Direct

09:06AM PST - All of this is in development or available to customers. And is a cornerstone of Intel Foundry's offerings. Intel will catch up on lithography; they thinkn they're already in the lead in advanced packaging

09:07AM PST - Intel Foundry has secured over $15 billion in customer business

09:07AM PST - "Today is a day 3 years in the making"

09:08AM PST - And Pat is now handing things off to Stu Pann, Intel's SVP and GM for Foundry Services

09:08AM PST - Pann is here to talk about just what a "systems foundry" is. And why the AI era is driving so much demand

09:09AM PST - (Pann has been at Intel for 43 years)

09:10AM PST - Intel needs to earn its chance to be a customer's chip fab

09:11AM PST - Recapping the history of Moore's Law, and Gordon Moore's original paper that lead to his law

09:12AM PST - Moore's Paper discussed the possibility of building larger systems out of separately packaged components

09:13AM PST - And this is where Intel reaches the system foundry. A foundry ecosystem that follows open standards to allow multiple components/chiplets to be used together

09:13AM PST - Intel is a systems company turning into a foundry

09:14AM PST - Comparing Intel's new foundry model to TSMC's

09:15AM PST - TSMC has been very succesful as a classic foundry provider that processes wafers, does a bit of advanced packaging, and leaves the rest to other ecosystem partners

09:15AM PST - Intel wants to be more and do more, in order to keep Moore's Law going

09:15AM PST - Offering not only traditional chipmaking resources, but also the company's expertise in system architecture and software

09:16AM PST - Intel visualizes this as a 3 layer model

09:16AM PST - The base layer is being a world-class foundry and complete ecosystem

09:17AM PST - The second layer is offering manufacturing resiliancy

09:17AM PST - And finally, at the top, the idea of a system of chips. Working with foundry partners to create systems of chips

09:17AM PST - 18A is being well-received by the hyperscaler community

09:18AM PST - The high-power nature of AI accelerators makes backside power delivery particularly interesting to these groups

09:19AM PST - Meanwhile, Intel is going to be doing more than just cutting-edge chips. Using its existing tooling and expertise to develop cost-effective nodes like their Intel 12 collaboration with UMC

09:20AM PST - Intel wants to cover as many paths as possible with customers. Give them access to whatever they need. EDA tooling, IP, design services

09:21AM PST - As for Intel's resiliant fab plans, this covers both the geopolitical aspects, as well as the environmentak sustainability aspects

09:22AM PST - Security is also a major platform for Intel, especially as they chase military business

09:23AM PST - And finally, the system of chips is all about taking advantage of chiplets and tiling

09:23AM PST - Both to improve chip yields, and to let customers mix and match chiplets to build exactly the kind of chips they'd like

09:24AM PST - Pann says that Intel Foundry has learned a lot about chiplets through Ponte Vecchio (aka Intel Data Center GPU Max)

09:24AM PST - The assembly test yield on Ponte is 95%+

09:25AM PST - Which is what made building the Aurora supercomputer possible

09:26AM PST - And recapping all the uses for Aurora. And how Intel wants to make something like that even more cost efficient

09:26AM PST - Systems of Chips requires not only advanced chip packing technologies, but also standards within those ecosystems for how those chiplets will connect an interact

09:27AM PST - e.g. UCIe, co-packaged optical interconnects, and more

09:27AM PST - Providing standards and connectivity

09:28AM PST - Moving on: "What does it take to bring AI everywhere?"

09:28AM PST - AI use is growing rapidly. AI power consumption is also growing rapidly

09:28AM PST - "AI could soon need as much electricity as an entire country"

09:29AM PST - Expanding the role of AI means finding ways to develop these chips cost-effecitvely. And then making them power-efficient so that they're cost-effective to run

09:30AM PST - Spotted: Foveros 3D Direct with a bump pitch less than or equal to 4 micron, less than half that of first-gen 9 micron Foveros Direct

09:31AM PST - Getting to that point is going to require all of Intel's foundry capabilties. Leading-edge nodes, leading-edge chip packing, glass substrates, and the ability to cool 2000W+ devices

09:32AM PST - New memory technologies is also going to be a major component of improving AI. Not just more bandwidth, but keeping that power consumption in check

09:32AM PST - And Intel is going to continue pushing new interconnect and networking technologies as well, such as silicon photonics and co-packaged optics

09:33AM PST - "It's another 5-year roadmap"

09:33AM PST - And to top this all off with Intel's software expertise

09:34AM PST - And to do all of this, Intel can't do it alone. They have to have partners

09:34AM PST - Reference designs to speed development. EDA tools to actually make these chip designs

09:35AM PST - And Intel's investment arm, Intel Capital, to further bootstrap the ecosystem and funding the next wave of innovators

09:36AM PST - Intel is even partnering with universities to make 18A avaialble to their students, so that those students come out of their schooling with experience on Intel's leadijng-edge node

09:36AM PST - Intel is also announcing a new partnership with Arm. The Emerging Business Initiative. Arm provides the IP, Intel provides the 18A shuttles

09:37AM PST - Intel has 30+ partners overall, several of which will be speaking today at Direct Connect

09:37AM PST - With EDA tool makers providing hard engineering data on their Intel tools

09:38AM PST - But first, Intel's most important partner: Arm

09:38AM PST - Now on stage, Arm's CEO Rene Haas

09:38AM PST - Pann: "Arm is my most important business partner"

09:38AM PST - Which just goes to show how wildly the world of chipmaking has changed in the last decade

09:39AM PST - Intel cannot be in the foundry business without a partnership with Arm

09:39AM PST - Haas: "This is a bit of strange bedfellows"

09:40AM PST - Intel and Arm started their conversations shortly after Haas became CEO in early 2022

09:40AM PST - Haas is recapping today's Neoverse product announcements. Neoverse V3 and Neoverse N3

09:40AM PST - More details here: https://www.anandtech.com/show/21270/arm-announces-neoverse-v3-and-n3-cpu-cores

09:40AM PST - Intel for their part is super-excited about the Neoverse announcement

09:41AM PST - Haas: "It has been a joy to work with [Intel's] groups"

09:42AM PST - "The results so far are terrific"

09:42AM PST - Arm is ready to get to the next phase and have customers use the product

09:43AM PST - Also quickly recapping Arm's Compute Subsysten (CSS) initiative, which delivers fully designed and validated CPU cluster designs

09:43AM PST - Which can save customers significant time by cutting down on the amount of remaining design and integration work they need to do

09:44AM PST - Arm and Intel are looking forward to seeing what they can do together

09:44AM PST - And that's a wrap for Arm

09:45AM PST - And that will be a wrap for our live blog coverage as well. Intel's public keynote will be continuing for another 20 minutes or so, with a fireside chat with Intel's customers

09:46AM PST - Thank you for joining us, and please be sure to check out our companion article on Intel's foundry announcement: https://www.anandtech.com/show/21271/intel-foundry-future-14a-foveros-direct-beyond

POST A COMMENT

5 Comments

View All Comments

  • Orfosaurio - Wednesday, February 21, 2024 - link

    Thanks for the summary. Reply
  • six_tymes - Sunday, February 25, 2024 - link

    Pat Gelsinger seems to be nearing Intel's greatest CEO of all time. An amazing turn around, not many CEO's that had so many obstacles have done even half of what he has done thus far. Kudos Sir! Reply
  • Mary_Cox - Monday, February 26, 2024 - link

    Wow, this Intel event sounds pretty interesting! Excited to hear about their plans for the foundry business and the roadmap. The idea of becoming the world's first fully integrated systems foundry in the AI space sounds ambitious. I think it can be used for teaching. However, I think that humans can still compete with AI in this direction, so I use https://edubirdie.com/examples/narrative-essays/, it's fast and accurate, and I always get the highest score. Wonder what they're going to unveil and how it might shape the future of the industry. Reply
  • powerarmour - Friday, March 1, 2024 - link

    Who cares anymore? Reply
  • mildreddbrown3 - Wednesday, March 6, 2024 - link

    Here you can shop the best ever items you had the opportunity to have a look at for a split second on a tv screen Reply

Log in

Don't have an account? Sign up now